IEEE 1801-2009
Diseño y Verificación de Circuitos Integrados de Baja Potencia (IEEE Computer Society)

Estándar No.
IEEE 1801-2009
Fecha de publicación
2009
Organización
IEEE - The Institute of Electrical and Electronics Engineers@ Inc.
Estado
 2016-03
Remplazado por
IEEE 1801-2013
Ultima versión
IEEE 1801-2018
Alcance
Prólogo El propósito de este estándar es proporcionar a las comunidades de diseño de sistemas y automatización de diseño electrónico (EDA) @ semiconductores @ un lenguaje estándar de verificación y diseño de hardware unificado IEEE oficial y bien definido. El lenguaje está diseñado para coexistir y mejorar los lenguajes de descripción de hardware (HDL) utilizados actualmente por los diseñadores, al tiempo que proporciona las capacidades que faltan en esos lenguajes. SystemVerilog es un lenguaje de verificación y diseño de hardware unificado que se basa en las extensiones Accellera SystemVerilog 3.1a. según Verilog HDL [B1]a@ publicado en 2004. Accellera es un consorcio de empresas de sistemas y semiconductores EDA@. IEEE Std 1800 permite un aumento de la productividad en el diseño y la validación y cubre el diseño @ simulación @ validación @ y flujos de verificación formales basados en afirmaciones. SystemVerilog permite el uso de un lenguaje unificado para la especificación abstracta y detallada del diseño @ especificación de afirmaciones @ cobertura @ y verificación en banco de pruebas que se basa en metodologías manuales o automáticas. SystemVerilog ofrece interfaces de programación de aplicaciones (API) para cobertura y afirmaciones@ una API independiente del proveedor para acceder a formatos de archivos de forma de onda patentados@ y una interfaz de programación directa (DPI) para acceder a funciones patentadas. SystemVerilog ofrece métodos que permiten a los diseñadores continuar utilizando los lenguajes de diseño actuales cuando sea necesario para aprovechar los diseños y la propiedad intelectual existentes. Este proyecto de estandarización proporcionará a los ingenieros de diseño de VLSI un estándar IEEE bien definido que cumpla con sus requisitos de diseño y validación y permita un aumento funcional gradual en su productividad. Este proyecto de estandarización también proporcionará a la industria EDA un estándar al que pueden adherirse y que pueden respaldar para entregar sus soluciones en esta área. Alcance Este estándar especifica extensiones para un mayor nivel de abstracción para modelado y verificación con Verilog? Lenguaje de descripción de hardware (HDL). Estas adiciones extienden Verilog al espacio de sistemas y al espacio de verificación. SystemVerilog está construido sobre IEEE Std 1364?1 para Verilog HDL. Este estándar incluye métodos de especificación de diseño @ lenguaje de aserciones integrado @ lenguaje de banco de pruebas que incluye cobertura y aserciones interfaz de programación de aplicaciones (API) @ y una interfaz de programación directa (DPI). A lo largo de este estándar @ se aplican los siguientes términos: Verilog se refiere a IEEE Std 1364 para Verilog HDL. Verilog-2001 se refiere a IEEE Std 1364-2001 [B4]2 para Verilog HDL. Verilog-1995 se refiere a IEEE Std 1364-1995 [B3] para Verilog HDL. SystemVerilog se refiere a las extensiones del estándar Verilog (IEEE Std 1364) tal como se define en este estándar. AlcanceEste estándar especifica extensiones para un mayor nivel de abstracción para modelado y verificación con Verilog? Lenguaje de descripción de hardware (HDL). Estas adiciones extienden Verilog al espacio de sistemas y al espacio de verificación. SystemVerilog está construido sobre IEEE Std 1364?1 para Verilog HDL. Este estándar incluye métodos de especificación de diseño @ lenguaje de aserciones integrado @ lenguaje de banco de pruebas que incluye cobertura y aserciones interfaz de programación de aplicaciones (API) @ y una interfaz de programación directa (DPI). A lo largo de este estándar @ se aplican los siguientes términos: Verilog se refiere a IEEE Std 1364 para Verilog HDL. Verilog-2001 se refiere a IEEE Std 1364-2001 [B4]2 para Verilog HDL. Verilog-1995 se refiere a IEEE Std 1364-1995 [B3] para Verilog HDL. SystemVerilog se refiere a las extensiones del estándar Verilog (IEEE Std 1364) tal como se define en este estándar.

IEEE 1801-2009 Historia

  • 2018 IEEE 1801-2018 Diseño y verificación de sistemas electrónicos de bajo consumo y conscientes de la energía (IEEE Computer Society)
  • 2015 IEEE 1801-2015 Diseño y verificación de sistemas electrónicos de bajo consumo y conscientes de la energía (IEEE Computer Society)
  • 2014 IEEE 1801/COR-2014 Erratas para el diseño y verificación de circuitos integrados de baja potencia (IEEE Computer Society)
  • 2013 IEEE 1801-2013 Diseño y verificación de circuitos integrados de baja potencia (IEEE Computer Society)
  • 2009 IEEE 1801-2009 Diseño y Verificación de Circuitos Integrados de Baja Potencia (IEEE Computer Society)



© 2023 Reservados todos los derechos.